UVM与uvm_component相关的宏

在UVM中与uvm_component相关的factory宏有如下几个:

uvm_component_utils:它用于把一个直接或间接派生自uvm_component的类注册到factory中。

uvm_component_param_utils:它用于把一个直接或间接派生自uvm_component的参数化的类注册到factory中。

uvm_component_utils_begin:这个宏与uvm_object_utils_begin相似,它用于同时需要使用factory机制和field_automation机制注册的类。在类似于my_transaction这种类中使用field_automation机制可以让人理解,可是在component中使用field_automation机制有必要吗?uvm_component派生自uvm_object,所以对于object拥有的如compare、print函数都可以直接使用。但是filed_automation机制对于uvm_component来说最大的意义不在于此,而在于可以自动地使用config_db来得到某些变量的值。

uvm_component_param_utils_begin:与uvm_component_utils_begin宏一样,只是它适用于参数化的,且其中某些成员变量要使用field_automation机制实现的类。

uvm_component_utils_end:它总是与uvm_component_*_begin成对出现,作为factory注册的结束标志。

酷客网相关文章:

赞(0)

评论 抢沙发

评论前必须登录!