UVM教程

UVM(Universal Verification Methodology),其正式版是在2011年2月由Accellera推出的,得到了Sysnopsys、Mentor和Cadence的支持。UVM几乎完全继承了OVM,同时又采纳了Synopsys在VMM中的寄存器解决方案RAL。同时,UVM还吸收了VMM中的一些优秀的实现方式。可以说,UVM继承了VMM和OVM的优点,克服了各自的缺点,代表了验证方法学的发展方向。
UVM中的路径|酷客网

UVM中的路径

obama阅读(873)评论(0)赞(0)

一个component(如my_driver)内通过get_full_name()函数可以得到此component的路径: function void my_driver::build_phase(); super.build_phase(...

UVM中打印信息的控制

obama阅读(2007)评论(0)赞(0)

设置打印信息的冗余度阈值 UVM通过冗余度级别的设置提高了仿真日志的可读性。在打印信息之前,UVM会比较要显示信息的冗余度级别与默认的冗余度阈值,如果小于等于阈值,就会显示,否则不会显示。默认的冗余度阈值是UVM_MEDIUM,所有低于等于...

UVM field automation机制

obama阅读(1489)评论(0)赞(0)

field automation机制相关的宏 最简单的uvm_field系列宏有如下几种: define uvm_field_int(ARG,FLAG) define uvm_field_real(ARG,FLAG) define uvm_...

UVM层次结构相关函数

obama阅读(852)评论(0)赞(0)

UVM层次结构相关函数 UVM提供了一系列的接口函数用于访问UVM树中的结点。这其中最主要的是以下几个: get_parent函数,用于得到当前实例的parent,其函数原型为: extern virtual function uvm_co...

UVM树的根|酷客网

UVM树的根

obama阅读(908)评论(0)赞(0)

UVM树的根 UVM是以树的形式组织在一起的,作为一棵树来说,其树根在哪里?其树叶又是哪些呢?似乎树根应该就是uvm_test,在测试用例里实例化env,在env里实例化scoreboard、reference model、agent、在a...

uvm_component parent参数

obama阅读(773)评论(0)赞(0)

uvm_component parent参数 UVM通过uvm_component来实现树形结构。所有的UVM树的结点本质上都是一个uvm_component。每个uvm_component都有一个特点:它们在new的时候,需要指定一个类型...

uvm_component的限制

obama阅读(653)评论(0)赞(0)

uvm_component的限制,uvm_component是从uvm_object派生来的。从理论上来说,uvm_component应该具有uvm_object的所有的行为特征。但是,由于uvm_component是作为UVM树的结点存在...

UVM与uvm_component相关的宏

obama阅读(818)评论(0)赞(0)

在UVM中与uvm_component相关的factory宏有如下几个: uvm_component_utils:它用于把一个直接或间接派生自uvm_component的类注册到factory中。 uvm_component_param_u...

UVM与uvm_object相关的宏

obama阅读(1120)评论(0)赞(1)

在UVM中与uvm_object相关的factory宏有如下几个: uvm_object_utils:它用于把一个直接或间接派生自uvm_object的类注册到factory中。 uvm_object_param_utils:它用于把一个直...

UVM派生自uvm_component的常用类

obama阅读(924)评论(0)赞(0)

常用的派生自uvm_component的类 与uvm_object相比,派生自uvm_component的类比较少。 uvm_driver:所有的driver都要派生自uvm_driver。driver的功能主要就是向sequencer索要...

UVM教程

  • 没有分类目录